CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - usb verilog

搜索资源列表

  1. S11_USB

    0下载:
  2. 学习USB编程,可以运行,对学习verilog很有帮助-Learning the USB programming, you can run, be helpful to learn verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1691858
    • 提供者:李雷
  1. VERILOGUSB2.0-IP

    0下载:
  2. USB IP核 verilog 语言 完整的use ip核-use ip verilog HDL
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:588734
    • 提供者:赵彦选
  1. 9b93752447d7

    0下载:
  2. 用verilog 写的 USB 驱动 适用于SOPC IP CORE-USB drive write verilog. For in the SOPC IP CORE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:19206
    • 提供者:wang
  1. usb_test

    0下载:
  2. altera cyclone 2c35开发板,测试usb通用串行总线,verilog编写的-altera cyclone 2c35 development board test usb Universal Serial Bus, verilog prepared
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:10944
    • 提供者:
  1. FPGA_USB

    0下载:
  2. FPGA与USB通信的Verilog源码,希望对大家有用!-FPGA and USB communication Verilog source code, I hope useful for all of us!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:3346
    • 提供者:dean
  1. ft2232h_rollback

    1下载:
  2. FT2232H芯片usb循环读写 verilog 实现, 使用时pll可注释掉-FT2232H the chips usb cycle read and write verilog achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1024
    • 提供者:123
  1. CH372

    1下载:
  2. 基于fpga的USB控制器,采用CH376芯片,verilog代码编写,通过仿真-Fpga-based USB controller, using CH376 chip, verilog code prepared by simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-07-13
    • 文件大小:4771840
    • 提供者:zengdeqian
  1. 61EDA_C2212

    1下载:
  2. 红色飓风II开发板USB2FPGA USB驱动程序,由verilog编写,包括源码和FIFO测试程序-Red Hurricane II development board USB2FPGA USB driver from verilog preparation, including source code and test procedures FIFO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:3584085
    • 提供者:xueyuan
  1. usb_ctl

    0下载:
  2. CH372 USB芯片 采用Verilog语言,实现FPGA与上位机通信,按键触发FPGA向上位机传数,USB测试软件向FPGA传数-CH372 USB chip using Verilog language, to achieve FPGA and PC communications, key trigger FPGA pass up crew numbers, USB test software to pass several FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:2872
    • 提供者:zhenli
  1. usbtoiic

    1下载:
  2. usb转I2C接口的基于verilog的源码以及仿真结果等-usb to I2C Interface based verilog source and simulation results, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1251701
    • 提供者:yuan
  1. USB_fpga

    0下载:
  2. FPGA与USB PHY芯片Cy7c68013A通信的程序,Verilog语言-FPGA and USB PHY chip Cy7c68013A communication procedures, Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4371173
    • 提供者:路永轲
  1. usb1029

    0下载:
  2. 实现FPGA对Cypress公司的68013A款的USB芯片应用于SLAVEFIFO的读操作,使用verilog语言编写,Q2开发环境。-FPGA to realize the company s 68013A paragraph Cypress USB chip used SLAVEFIFO read operation, using verilog language, Q2 development environment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:403433
    • 提供者:
  1. 17_usb_device

    0下载:
  2. Ch376控制器的控制程序,用于完成USB接口-Ch376_controller code by Verilog,used in USB communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2988
    • 提供者:苏羽金
  1. QUARTUS_WORK_FORTH

    0下载:
  2. 基于verilog语言的,FPGA程序实现电脑与FPGA串口的数字传输,硬件设备为EP1C3T100C8,usb转RS232芯片为FT232BM,-Based verilog language, FPGA program FPGA serial digital transmission of computer and hardware devices to EP1C3T100C8, usb to RS232 chip FT232BM,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:598044
    • 提供者:FT_Young
  1. FT245BL_test

    0下载:
  2. (1)FT245BL芯片datasheet(2)test,USB 转FIFO 芯片测试的verilog程序-(1) FT245BL chip datasheet (2) test, USB transfer FIFO chip testing procedures verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:427112
    • 提供者:wangqiang
  1. usb_device

    0下载:
  2. verilog 的USB 设备访问程序,已经验证-verilog for usb device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2963
    • 提供者:hehehaha2014
  1. usb_host1

    0下载:
  2. verilog 的主机访问程序,与设备端程序配套,已验证-verilog for usb host
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:27967
    • 提供者:hehehaha2014
  1. usb_sim_model

    0下载:
  2. EZ-USB的仿真模型,Verilog实现,能够实现端点传输,自用。-EZ-USB simulation model, Verilog implementation, to achieve the endpoint transmission, personal use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2132
    • 提供者:爱阳阳
  1. CH376

    1下载:
  2. 用VERILOG HDL语言写的usb程序。FPGA芯片用的是ALTERA公司的,编程所用的软件为quartus和nios,USB芯片为CH376.-VERILOG HDL language written with usb program. ALTERA FPGA chip using the company s software program used quartus and nios, USB chip CH376.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6357842
    • 提供者:周燕
  1. usb_host_device

    0下载:
  2. usb时钟的verilog描述,包含向量名定义,顶层设计等等的精确描述-usb clock verilog descr iption, including the vector name is defined, an accurate descr iption of the top-level design, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:147825
    • 提供者:micheal zhang
« 1 2 3 4 5 67 »
搜珍网 www.dssz.com